ParaMonte Fortran 2.0.0
Parallel Monte Carlo and Machine Learning Library
See the latest version documentation.
test_pm_paraNest.F90
Go to the documentation of this file.
1!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
2!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
3!!!! !!!!
4!!!! ParaMonte: Parallel Monte Carlo and Machine Learning Library. !!!!
5!!!! !!!!
6!!!! Copyright (C) 2012-present, The Computational Data Science Lab !!!!
7!!!! !!!!
8!!!! This file is part of the ParaMonte library. !!!!
9!!!! !!!!
10!!!! LICENSE !!!!
11!!!! !!!!
12!!!! https://github.com/cdslaborg/paramonte/blob/main/LICENSE.md !!!!
13!!!! !!!!
14!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
15!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
16
19
21
22 use pm_kind, only: IK, RK
23 use pm_test, only: test_type, LK, getLogFuncMVN, getLogFuncBanana2D, getLogFuncEggBox2D
24 use pm_sampling
25
26 implicit none
27
28 private
29 public :: setTest
30 type(test_type) :: test
31
32 interface
33 module function test_runSampler_1 () result(assertion); logical(LK) :: assertion; end
34 !module function test_runSampler_2 () result(assertion); logical(LK) :: assertion; end
35 !module function test_runSampler_3 () result(assertion); logical(LK) :: assertion; end
36 !module function test_runSampler_4 () result(assertion); logical(LK) :: assertion; end
37 !module function test_runSampler_5 () result(assertion); logical(LK) :: assertion; end
38 !module function test_runSampler_6 () result(assertion); logical(LK) :: assertion; end
39 !module function test_runSampler_7 () result(assertion); logical(LK) :: assertion; end
40 !module function test_runSampler_8 () result(assertion); logical(LK) :: assertion; end
41 !module function test_runSampler_9 () result(assertion); logical(LK) :: assertion; end
42 !module function test_runSampler_10() result(assertion); logical(LK) :: assertion; end
43 !module function test_runSampler_11() result(assertion); logical(LK) :: assertion; end
44 !module function test_runSampler_12() result(assertion); logical(LK) :: assertion; end
45 !module function test_runSampler_13() result(assertion); logical(LK) :: assertion; end
46 !module function test_runSampler_14() result(assertion); logical(LK) :: assertion; end
47 !module function test_runSampler_15() result(assertion); logical(LK) :: assertion; end
48 !module function test_runSampler_16() result(assertion); logical(LK) :: assertion; end
49 !module function test_runSampler_17() result(assertion); logical(LK) :: assertion; end
50 !module function test_runSampler_18() result(assertion); logical(LK) :: assertion; end
51 !module function test_runSampler_19() result(assertion); logical(LK) :: assertion; end
52 !module function test_runSampler_20() result(assertion); logical(LK) :: assertion; end
53 !module function test_runSampler_21() result(assertion); logical(LK) :: assertion; end
54 !module function test_runSampler_22() result(assertion); logical(LK) :: assertion; end
55 end interface
56
57!%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
58
59contains
60
61!%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
62
63 subroutine setTest()
64
65 implicit none
66
68
69 call test%run(test_runSampler_1, SK_"test_runSampler_1")
70 !call test%run(test_runSampler_2 , SK_"test_runSampler_2")
71 !call test%run(test_runSampler_3 , SK_"test_runSampler_3")
72 !call test%run(test_runSampler_4 , SK_"test_runSampler_4")
73 !call test%run(test_runSampler_5 , SK_"test_runSampler_5")
74 !call test%run(test_runSampler_6 , SK_"test_runSampler_6")
75 !call test%run(test_runSampler_7 , SK_"test_runSampler_7")
76 !call test%run(test_runSampler_8 , SK_"test_runSampler_8")
77 !call test%run(test_runSampler_9 , SK_"test_runSampler_9")
78 !call test%run(test_runSampler_10, SK_"test_runSampler_10")
79 !call test%run(test_runSampler_11, SK_"test_runSampler_11")
80 !call test%run(test_runSampler_12, SK_"test_runSampler_12")
81 !call test%run(test_runSampler_13, SK_"test_runSampler_13")
82 !call test%run(test_runSampler_14, SK_"test_runSampler_14")
83 !call test%run(test_runSampler_15, SK_"test_runSampler_15")
84 !call test%run(test_runSampler_16, SK_"test_runSampler_16")
85 !call test%run(test_runSampler_17, SK_"test_runSampler_17")
86 !call test%run(test_runSampler_18, SK_"test_runSampler_18")
87 !call test%run(test_runSampler_19, SK_"test_runSampler_19")
88 !call test%run(test_runSampler_20, SK_"test_runSampler_20")
89 !call test%run(test_runSampler_21, SK_"test_runSampler_21")
90 !call test%run(test_runSampler_22, SK_"test_runSampler_22")
91
92 !call test%run(test_specbase_randomSeed_type_1, SK_"test_specbase_randomSeed_type_1")
93 !call test%run(test_specbase_randomSeed_type_2, SK_"test_specbase_randomSeed_type_2")
94 !call test%run(test_specbase_outputSampleSize_type_1, SK_"test_specbase_outputSampleSize_type_1")
95 !call test%run(test_specbase_outputSampleSize_type_2, SK_"test_specbase_outputSampleSize_type_2")
96 !call test%run(test_specbase_outputSampleSize_type_3, SK_"test_specbase_outputSampleSize_type_3")
97 !call test%run(test_specbase_outputSampleSize_type_4, SK_"test_specbase_outputSampleSize_type_4")
98 !call test%run(test_specbase_outputSeparator_type_1, SK_"test_specbase_outputSeparator_type_1")
99 !call test%run(test_specbase_outputSeparator_type_2, SK_"test_specbase_outputSeparator_type_2")
100 !call test%run(test_specbase_outputSeparator_type_3, SK_"test_specbase_outputSeparator_type_3")
101 !call test%run(test_specbase_outputSeparator_type_4, SK_"test_specbase_outputSeparator_type_4")
102 !call test%run(test_specbase_outputSeparator_type_5, SK_"test_specbase_outputSeparator_type_5")
103 !call test%run(test_specbase_outputSeparator_type_6, SK_"test_specbase_outputSeparator_type_6")
104 !call test%run(test_specbase_outputChainFileFormat_type_1, SK_"test_specbase_outputChainFileFormat_type_1")
105 !call test%run(test_specbase_outputChainFileFormat_type_2, SK_"test_specbase_outputChainFileFormat_type_2")
106 !call test%run(test_specbase_outputChainFileFormat_type_3, SK_"test_specbase_outputChainFileFormat_type_3")
107 !call test%run(test_specbase_outputChainFileFormat_type_4, SK_"test_specbase_outputChainFileFormat_type_4")
108 !call test%run(test_specbase_outputChainFileFormat_type_5, SK_"test_specbase_outputChainFileFormat_type_5")
109 !call test%run(test_specbase_outputColumnWidth_type_1, SK_"test_specbase_outputColumnWidth_type_1")
110 !call test%run(test_specbase_outputColumnWidth_type_2, SK_"test_specbase_outputColumnWidth_type_2")
111 !call test%run(test_specbase_outputColumnWidth_type_3, SK_"test_specbase_outputColumnWidth_type_3")
112 !call test%run(test_specbase_outputColumnWidth_type_4, SK_"test_specbase_outputColumnWidth_type_4")
113 !call test%run(test_specbase_outputRestartFileFormat_type_1, SK_"test_specbase_outputRestartFileFormat_type_1")
114 !call test%run(test_specbase_outputRestartFileFormat_type_2, SK_"test_specbase_outputRestartFileFormat_type_2")
115 !call test%run(test_specbase_outputRestartFileFormat_type_3, SK_"test_specbase_outputRestartFileFormat_type_3")
116 !call test%run(test_specbase_outputStatus_type_1, SK_"test_specbase_outputStatus_type_1")
117 !call test%run(test_specbase_outputStatus_type_2, SK_"test_specbase_outputStatus_type_2")
118 !call test%run(test_specbase_domainCubeLimitLower_type_1, SK_"test_specbase_domainCubeLimitLower_type_1")
119 !call test%run(test_specbase_domainCubeLimitLower_type_2, SK_"test_specbase_domainCubeLimitLower_type_2")
120 !call test%run(test_specbase_domainCubeLimitUpper_type_1, SK_"test_specbase_domainCubeLimitUpper_type_1")
121 !call test%run(test_specbase_domainCubeLimitUpper_type_2, SK_"test_specbase_domainCubeLimitUpper_type_2")
122 !call test%run(test_specbase_domainCubeLimitUpper_type_3, SK_"test_specbase_domainCubeLimitUpper_type_3")
123 !call test%run(test_specbase_domainCubeLimitUpper_type_4, SK_"test_specbase_domainCubeLimitUpper_type_4")
124 !call test%run(test_specbase_outputPrecision_type_1, SK_"test_specbase_outputPrecision_type_1")
125 !call test%run(test_specbase_outputPrecision_type_2, SK_"test_specbase_outputPrecision_type_2")
126 !call test%run(test_specbase_outputReportPeriod_type_1, SK_"test_specbase_outputReportPeriod_type_1")
127 !call test%run(test_specbase_outputReportPeriod_type_2, SK_"test_specbase_outputReportPeriod_type_2")
128 !call test%run(test_specbase_parallelism_type_1, SK_"test_specbase_parallelism_type_1")
129 !call test%run(test_specbase_parallelism_type_2, SK_"test_specbase_parallelism_type_2")
130 !call test%run(test_specbase_parallelism_type_3, SK_"test_specbase_parallelism_type_3")
131 !call test%run(test_specbase_targetAcceptanceRate_type_1, SK_"test_specbase_targetAcceptanceRate_type_1")
132 !call test%run(test_specbase_targetAcceptanceRate_type_2, SK_"test_specbase_targetAcceptanceRate_type_2")
133 !call test%run(test_specbase_targetAcceptanceRate_type_3, SK_"test_specbase_targetAcceptanceRate_type_3")
134 !call test%run(test_specbase_targetAcceptanceRate_type_4, SK_"test_specbase_targetAcceptanceRate_type_4")
135 !call test%run(test_specbase_targetAcceptanceRate_type_5, SK_"test_specbase_targetAcceptanceRate_type_5")
136 !call test%run(test_specbase_targetAcceptanceRate_type_6, SK_"test_specbase_targetAcceptanceRate_type_6")
137 !call test%run(test_specbase_domainErrCount_type_1, SK_"test_specbase_domainErrCount_type_1")
138 !call test%run(test_specbase_domainErrCount_type_2, SK_"test_specbase_domainErrCount_type_2")
139 !call test%run(test_specbase_domainErrCount_type_3, SK_"test_specbase_domainErrCount_type_3")
140 !call test%run(test_specbase_domainErrCountMax_type_1, SK_"test_specbase_domainErrCountMax_type_1")
141 !call test%run(test_specbase_domainErrCountMax_type_2, SK_"test_specbase_domainErrCountMax_type_2")
142 !call test%run(test_specbase_domainErrCountMax_type_3, SK_"test_specbase_domainErrCountMax_type_3")
143
144 call test%summarize()
145
146 end subroutine setTest
147
148!%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
149
150end module test_pm_paraNest
This module defines the relevant Fortran kind type-parameters frequently used in the ParaMonte librar...
Definition: pm_kind.F90:268
integer, parameter RK
The default real kind in the ParaMonte library: real64 in Fortran, c_double in C-Fortran Interoperati...
Definition: pm_kind.F90:543
integer, parameter LK
The default logical kind in the ParaMonte library: kind(.true.) in Fortran, kind(....
Definition: pm_kind.F90:541
integer, parameter IK
The default integer kind in the ParaMonte library: int32 in Fortran, c_int32_t in C-Fortran Interoper...
Definition: pm_kind.F90:540
This module contains procedures and generic interfaces for the ParaMonte library sampler routines.
Definition: pm_sampling.F90:30
character(*, SK), parameter MODULE_NAME
Definition: pm_sampling.F90:40
This module contains a simple unit-testing framework for the Fortran libraries, including the ParaMon...
Definition: pm_test.F90:42
This module contains tests of the module pm_sampling.
subroutine setTest()
type(test_type) test
This is the derived type test_type for generating objects that facilitate testing of a series of proc...
Definition: pm_test.F90:209