ParaMonte Fortran 2.0.0
Parallel Monte Carlo and Machine Learning Library
See the latest version documentation.
test_pm_arrayComplement.F90
Go to the documentation of this file.
1!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
2!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
3!!!! !!!!
4!!!! ParaMonte: Parallel Monte Carlo and Machine Learning Library. !!!!
5!!!! !!!!
6!!!! Copyright (C) 2012-present, The Computational Data Science Lab !!!!
7!!!! !!!!
8!!!! This file is part of the ParaMonte library. !!!!
9!!!! !!!!
10!!!! LICENSE !!!!
11!!!! !!!!
12!!!! https://github.com/cdslaborg/paramonte/blob/main/LICENSE.md !!!!
13!!!! !!!!
14!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
15!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!
16
24
25!%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
26
28
30 use pm_err, only: err_type
31 use pm_test, only: test_type, LK
32 implicit none
33
34 private
35 public :: setTest
36 type(test_type) :: test
37
38!%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
39
40 interface
41
42 !%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
43
44#if SK5_ENABLED
45 module function test_getComplement_D0_SK5_1 () result(assertion); logical(LK) :: assertion; end function
46 !module function test_setComplement_D0_SK5_1 () result(assertion); logical(LK) :: assertion; end function
47#endif
48#if SK4_ENABLED
49 module function test_getComplement_D0_SK4_1 () result(assertion); logical(LK) :: assertion; end function
50 !module function test_setComplement_D0_SK4_1 () result(assertion); logical(LK) :: assertion; end function
51#endif
52#if SK3_ENABLED
53 module function test_getComplement_D0_SK3_1 () result(assertion); logical(LK) :: assertion; end function
54 !module function test_setComplement_D0_SK3_1 () result(assertion); logical(LK) :: assertion; end function
55#endif
56#if SK2_ENABLED
57 module function test_getComplement_D0_SK2_1 () result(assertion); logical(LK) :: assertion; end function
58 !module function test_setComplement_D0_SK2_1 () result(assertion); logical(LK) :: assertion; end function
59#endif
60#if SK1_ENABLED
61 module function test_getComplement_D0_SK1_1 () result(assertion); logical(LK) :: assertion; end function
62 !module function test_setComplement_D0_SK1_1 () result(assertion); logical(LK) :: assertion; end function
63#endif
64
65 !%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
66
67#if SK5_ENABLED
68 module function test_getComplement_D1_SK5_1 () result(assertion); logical(LK) :: assertion; end function
69 !module function test_setComplement_D1_SK5_1 () result(assertion); logical(LK) :: assertion; end function
70#endif
71#if SK4_ENABLED
72 module function test_getComplement_D1_SK4_1 () result(assertion); logical(LK) :: assertion; end function
73 !module function test_setComplement_D1_SK4_1 () result(assertion); logical(LK) :: assertion; end function
74#endif
75#if SK3_ENABLED
76 module function test_getComplement_D1_SK3_1 () result(assertion); logical(LK) :: assertion; end function
77 !module function test_setComplement_D1_SK3_1 () result(assertion); logical(LK) :: assertion; end function
78#endif
79#if SK2_ENABLED
80 module function test_getComplement_D1_SK2_1 () result(assertion); logical(LK) :: assertion; end function
81 !module function test_setComplement_D1_SK2_1 () result(assertion); logical(LK) :: assertion; end function
82#endif
83#if SK1_ENABLED
84 module function test_getComplement_D1_SK1_1 () result(assertion); logical(LK) :: assertion; end function
85 !module function test_setComplement_D1_SK1_1 () result(assertion); logical(LK) :: assertion; end function
86#endif
87
88 !%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
89
90#if IK5_ENABLED
91 module function test_getComplement_D1_IK5_1 () result(assertion); logical(LK) :: assertion; end function
92 !module function test_setComplement_D1_IK5_1 () result(assertion); logical(LK) :: assertion; end function
93#endif
94#if IK4_ENABLED
95 module function test_getComplement_D1_IK4_1 () result(assertion); logical(LK) :: assertion; end function
96 !module function test_setComplement_D1_IK4_1 () result(assertion); logical(LK) :: assertion; end function
97#endif
98#if IK3_ENABLED
99 module function test_getComplement_D1_IK3_1 () result(assertion); logical(LK) :: assertion; end function
100 !module function test_setComplement_D1_IK3_1 () result(assertion); logical(LK) :: assertion; end function
101#endif
102#if IK2_ENABLED
103 module function test_getComplement_D1_IK2_1 () result(assertion); logical(LK) :: assertion; end function
104 !module function test_setComplement_D1_IK2_1 () result(assertion); logical(LK) :: assertion; end function
105#endif
106#if IK1_ENABLED
107 module function test_getComplement_D1_IK1_1 () result(assertion); logical(LK) :: assertion; end function
108 !module function test_setComplement_D1_IK1_1 () result(assertion); logical(LK) :: assertion; end function
109#endif
110
111 !%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
112
113#if LK5_ENABLED
114 module function test_getComplement_D1_LK5_1 () result(assertion); logical(LK) :: assertion; end function
115 !module function test_setComplement_D1_LK5_1 () result(assertion); logical(LK) :: assertion; end function
116#endif
117#if LK4_ENABLED
118 module function test_getComplement_D1_LK4_1 () result(assertion); logical(LK) :: assertion; end function
119 !module function test_setComplement_D1_LK4_1 () result(assertion); logical(LK) :: assertion; end function
120#endif
121#if LK3_ENABLED
122 module function test_getComplement_D1_LK3_1 () result(assertion); logical(LK) :: assertion; end function
123 !module function test_setComplement_D1_LK3_1 () result(assertion); logical(LK) :: assertion; end function
124#endif
125#if LK2_ENABLED
126 module function test_getComplement_D1_LK2_1 () result(assertion); logical(LK) :: assertion; end function
127 !module function test_setComplement_D1_LK2_1 () result(assertion); logical(LK) :: assertion; end function
128#endif
129#if LK1_ENABLED
130 module function test_getComplement_D1_LK1_1 () result(assertion); logical(LK) :: assertion; end function
131 !module function test_setComplement_D1_LK1_1 () result(assertion); logical(LK) :: assertion; end function
132#endif
133
134 !%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
135
136#if CK5_ENABLED
137 module function test_getComplement_D1_CK5_1 () result(assertion); logical(LK) :: assertion; end function
138 !module function test_setComplement_D1_CK5_1 () result(assertion); logical(LK) :: assertion; end function
139#endif
140#if CK4_ENABLED
141 module function test_getComplement_D1_CK4_1 () result(assertion); logical(LK) :: assertion; end function
142 !module function test_setComplement_D1_CK4_1 () result(assertion); logical(LK) :: assertion; end function
143#endif
144#if CK3_ENABLED
145 module function test_getComplement_D1_CK3_1 () result(assertion); logical(LK) :: assertion; end function
146 !module function test_setComplement_D1_CK3_1 () result(assertion); logical(LK) :: assertion; end function
147#endif
148#if CK2_ENABLED
149 module function test_getComplement_D1_CK2_1 () result(assertion); logical(LK) :: assertion; end function
150 !module function test_setComplement_D1_CK2_1 () result(assertion); logical(LK) :: assertion; end function
151#endif
152#if CK1_ENABLED
153 module function test_getComplement_D1_CK1_1 () result(assertion); logical(LK) :: assertion; end function
154 !module function test_setComplement_D1_CK1_1 () result(assertion); logical(LK) :: assertion; end function
155#endif
156
157 !%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
158
159#if RK5_ENABLED
160 module function test_getComplement_D1_RK5_1 () result(assertion); logical(LK) :: assertion; end function
161 !module function test_setComplement_D1_RK5_1 () result(assertion); logical(LK) :: assertion; end function
162#endif
163#if RK4_ENABLED
164 module function test_getComplement_D1_RK4_1 () result(assertion); logical(LK) :: assertion; end function
165 !module function test_setComplement_D1_RK4_1 () result(assertion); logical(LK) :: assertion; end function
166#endif
167#if RK3_ENABLED
168 module function test_getComplement_D1_RK3_1 () result(assertion); logical(LK) :: assertion; end function
169 !module function test_setComplement_D1_RK3_1 () result(assertion); logical(LK) :: assertion; end function
170#endif
171#if RK2_ENABLED
172 module function test_getComplement_D1_RK2_1 () result(assertion); logical(LK) :: assertion; end function
173 !module function test_setComplement_D1_RK2_1 () result(assertion); logical(LK) :: assertion; end function
174#endif
175#if RK1_ENABLED
176 module function test_getComplement_D1_RK1_1 () result(assertion); logical(LK) :: assertion; end function
177 !module function test_setComplement_D1_RK1_1 () result(assertion); logical(LK) :: assertion; end function
178#endif
179
180 !%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
181
182 end interface
183
184!%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
185
186 interface
187
188 !%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
189
190#if IK5_ENABLED
191 module function test_getComplementRange_D1_IK5_1 () result(assertion); logical(LK) :: assertion; end function
192 !module function test_setComplementRange_D1_IK5_1 () result(assertion); logical(LK) :: assertion; end function
193#endif
194#if IK4_ENABLED
195 module function test_getComplementRange_D1_IK4_1 () result(assertion); logical(LK) :: assertion; end function
196 !module function test_setComplementRange_D1_IK4_1 () result(assertion); logical(LK) :: assertion; end function
197#endif
198#if IK3_ENABLED
199 module function test_getComplementRange_D1_IK3_1 () result(assertion); logical(LK) :: assertion; end function
200 !module function test_setComplementRange_D1_IK3_1 () result(assertion); logical(LK) :: assertion; end function
201#endif
202#if IK2_ENABLED
203 module function test_getComplementRange_D1_IK2_1 () result(assertion); logical(LK) :: assertion; end function
204 !module function test_setComplementRange_D1_IK2_1 () result(assertion); logical(LK) :: assertion; end function
205#endif
206#if IK1_ENABLED
207 module function test_getComplementRange_D1_IK1_1 () result(assertion); logical(LK) :: assertion; end function
208 !module function test_setComplementRange_D1_IK1_1 () result(assertion); logical(LK) :: assertion; end function
209#endif
210
211 !%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
212
213 end interface
214
215!%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
216
217contains
218
219!%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
220
221 subroutine setTest()
222
223 implicit none
224
226
227 !%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
228
229 !%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
230
231#if SK5_ENABLED
232 call test%run(test_getComplement_D0_SK5_1, SK_"test_getComplement_D0_SK5_1")
233 !call test%run(test_setComplement_D0_SK5_1, SK_"test_setComplement_D0_SK5_1")
234#endif
235#if SK4_ENABLED
236 call test%run(test_getComplement_D0_SK4_1, SK_"test_getComplement_D0_SK4_1")
237 !call test%run(test_setComplement_D0_SK4_1, SK_"test_setComplement_D0_SK4_1")
238#endif
239#if SK3_ENABLED
240 call test%run(test_getComplement_D0_SK3_1, SK_"test_getComplement_D0_SK3_1")
241 !call test%run(test_setComplement_D0_SK3_1, SK_"test_setComplement_D0_SK3_1")
242#endif
243#if SK2_ENABLED
244 call test%run(test_getComplement_D0_SK2_1, SK_"test_getComplement_D0_SK2_1")
245 !call test%run(test_setComplement_D0_SK2_1, SK_"test_setComplement_D0_SK2_1")
246#endif
247#if SK1_ENABLED
248 call test%run(test_getComplement_D0_SK1_1, SK_"test_getComplement_D0_SK1_1")
249 !call test%run(test_setComplement_D0_SK1_1, SK_"test_setComplement_D0_SK1_1")
250#endif
251
252 !%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
253
254#if SK5_ENABLED
255 call test%run(test_getComplement_D1_SK5_1, SK_"test_getComplement_D1_SK5_1")
256 !call test%run(test_setComplement_D1_SK5_1, SK_"test_setComplement_D1_SK5_1")
257#endif
258#if SK4_ENABLED
259 call test%run(test_getComplement_D1_SK4_1, SK_"test_getComplement_D1_SK4_1")
260 !call test%run(test_setComplement_D1_SK4_1, SK_"test_setComplement_D1_SK4_1")
261#endif
262#if SK3_ENABLED
263 call test%run(test_getComplement_D1_SK3_1, SK_"test_getComplement_D1_SK3_1")
264 !call test%run(test_setComplement_D1_SK3_1, SK_"test_setComplement_D1_SK3_1")
265#endif
266#if SK2_ENABLED
267 call test%run(test_getComplement_D1_SK2_1, SK_"test_getComplement_D1_SK2_1")
268 !call test%run(test_setComplement_D1_SK2_1, SK_"test_setComplement_D1_SK2_1")
269#endif
270#if SK1_ENABLED
271 call test%run(test_getComplement_D1_SK1_1, SK_"test_getComplement_D1_SK1_1")
272 !call test%run(test_setComplement_D1_SK1_1, SK_"test_setComplement_D1_SK1_1")
273#endif
274
275 !%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
276
277#if IK5_ENABLED
278 call test%run(test_getComplement_D1_IK5_1, SK_"test_getComplement_D1_IK5_1")
279 !call test%run(test_setComplement_D1_IK5_1, SK_"test_setComplement_D1_IK5_1")
280#endif
281#if IK4_ENABLED
282 call test%run(test_getComplement_D1_IK4_1, SK_"test_getComplement_D1_IK4_1")
283 !call test%run(test_setComplement_D1_IK4_1, SK_"test_setComplement_D1_IK4_1")
284#endif
285#if IK3_ENABLED
286 call test%run(test_getComplement_D1_IK3_1, SK_"test_getComplement_D1_IK3_1")
287 !call test%run(test_setComplement_D1_IK3_1, SK_"test_setComplement_D1_IK3_1")
288#endif
289#if IK2_ENABLED
290 call test%run(test_getComplement_D1_IK2_1, SK_"test_getComplement_D1_IK2_1")
291 !call test%run(test_setComplement_D1_IK2_1, SK_"test_setComplement_D1_IK2_1")
292#endif
293#if IK1_ENABLED
294 call test%run(test_getComplement_D1_IK1_1, SK_"test_getComplement_D1_IK1_1")
295 !call test%run(test_setComplement_D1_IK1_1, SK_"test_setComplement_D1_IK1_1")
296#endif
297
298 !%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
299
300#if LK5_ENABLED
301 call test%run(test_getComplement_D1_LK5_1, SK_"test_getComplement_D1_LK5_1")
302 !call test%run(test_setComplement_D1_LK5_1, SK_"test_setComplement_D1_LK5_1")
303#endif
304#if LK4_ENABLED
305 call test%run(test_getComplement_D1_LK4_1, SK_"test_getComplement_D1_LK4_1")
306 !call test%run(test_setComplement_D1_LK4_1, SK_"test_setComplement_D1_LK4_1")
307#endif
308#if LK3_ENABLED
309 call test%run(test_getComplement_D1_LK3_1, SK_"test_getComplement_D1_LK3_1")
310 !call test%run(test_setComplement_D1_LK3_1, SK_"test_setComplement_D1_LK3_1")
311#endif
312#if LK2_ENABLED
313 call test%run(test_getComplement_D1_LK2_1, SK_"test_getComplement_D1_LK2_1")
314 !call test%run(test_setComplement_D1_LK2_1, SK_"test_setComplement_D1_LK2_1")
315#endif
316#if LK1_ENABLED
317 call test%run(test_getComplement_D1_LK1_1, SK_"test_getComplement_D1_LK1_1")
318 !call test%run(test_setComplement_D1_LK1_1, SK_"test_setComplement_D1_LK1_1")
319#endif
320
321 !%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
322
323#if CK5_ENABLED
324 call test%run(test_getComplement_D1_CK5_1, SK_"test_getComplement_D1_CK5_1")
325 !call test%run(test_setComplement_D1_CK5_1, SK_"test_setComplement_D1_CK5_1")
326#endif
327#if CK4_ENABLED
328 call test%run(test_getComplement_D1_CK4_1, SK_"test_getComplement_D1_CK4_1")
329 !call test%run(test_setComplement_D1_CK4_1, SK_"test_setComplement_D1_CK4_1")
330#endif
331#if CK3_ENABLED
332 call test%run(test_getComplement_D1_CK3_1, SK_"test_getComplement_D1_CK3_1")
333 !call test%run(test_setComplement_D1_CK3_1, SK_"test_setComplement_D1_CK3_1")
334#endif
335#if CK2_ENABLED
336 call test%run(test_getComplement_D1_CK2_1, SK_"test_getComplement_D1_CK2_1")
337 !call test%run(test_setComplement_D1_CK2_1, SK_"test_setComplement_D1_CK2_1")
338#endif
339#if CK1_ENABLED
340 call test%run(test_getComplement_D1_CK1_1, SK_"test_getComplement_D1_CK1_1")
341 !call test%run(test_setComplement_D1_CK1_1, SK_"test_setComplement_D1_CK1_1")
342#endif
343
344 !%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
345
346#if RK5_ENABLED
347 call test%run(test_getComplement_D1_RK5_1, SK_"test_getComplement_D1_RK5_1")
348 !call test%run(test_setComplement_D1_RK5_1, SK_"test_setComplement_D1_RK5_1")
349#endif
350#if RK4_ENABLED
351 call test%run(test_getComplement_D1_RK4_1, SK_"test_getComplement_D1_RK4_1")
352 !call test%run(test_setComplement_D1_RK4_1, SK_"test_setComplement_D1_RK4_1")
353#endif
354#if RK3_ENABLED
355 call test%run(test_getComplement_D1_RK3_1, SK_"test_getComplement_D1_RK3_1")
356 !call test%run(test_setComplement_D1_RK3_1, SK_"test_setComplement_D1_RK3_1")
357#endif
358#if RK2_ENABLED
359 call test%run(test_getComplement_D1_RK2_1, SK_"test_getComplement_D1_RK2_1")
360 !call test%run(test_setComplement_D1_RK2_1, SK_"test_setComplement_D1_RK2_1")
361#endif
362#if RK1_ENABLED
363 call test%run(test_getComplement_D1_RK1_1, SK_"test_getComplement_D1_RK1_1")
364 !call test%run(test_setComplement_D1_RK1_1, SK_"test_setComplement_D1_RK1_1")
365#endif
366
367 !%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
368
369 !%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
370
371 !%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
372
373#if IK5_ENABLED
374 call test%run(test_getComplementRange_D1_IK5_1, SK_"test_getComplementRange_D1_IK5_1")
375 !call test%run(test_setComplementRange_D1_IK5_1, SK_"test_setComplementRange_D1_IK5_1")
376#endif
377#if IK4_ENABLED
378 call test%run(test_getComplementRange_D1_IK4_1, SK_"test_getComplementRange_D1_IK4_1")
379 !call test%run(test_setComplementRange_D1_IK4_1, SK_"test_setComplementRange_D1_IK4_1")
380#endif
381#if IK3_ENABLED
382 call test%run(test_getComplementRange_D1_IK3_1, SK_"test_getComplementRange_D1_IK3_1")
383 !call test%run(test_setComplementRange_D1_IK3_1, SK_"test_setComplementRange_D1_IK3_1")
384#endif
385#if IK2_ENABLED
386 call test%run(test_getComplementRange_D1_IK2_1, SK_"test_getComplementRange_D1_IK2_1")
387 !call test%run(test_setComplementRange_D1_IK2_1, SK_"test_setComplementRange_D1_IK2_1")
388#endif
389#if IK1_ENABLED
390 call test%run(test_getComplementRange_D1_IK1_1, SK_"test_getComplementRange_D1_IK1_1")
391 !call test%run(test_setComplementRange_D1_IK1_1, SK_"test_setComplementRange_D1_IK1_1")
392#endif
393
394 !%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
395
396 !%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
397
398 call test%summarize()
399
400 end subroutine setTest
401
402!%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
403
404end module test_pm_arrayComplement ! LCOV_EXCL_LINE
This module contains procedures and generic interfaces for computing the absolute or relative complem...
character(*, SK), parameter MODULE_NAME
This module contains classes and procedures for reporting and handling errors.
Definition: pm_err.F90:52
This module contains a simple unit-testing framework for the Fortran libraries, including the ParaMon...
Definition: pm_test.F90:42
This module contains tests of the module pm_arrayComplement.
This is the derived type for generating objects to gracefully and verbosely handle runtime unexpected...
Definition: pm_err.F90:157
This is the derived type test_type for generating objects that facilitate testing of a series of proc...
Definition: pm_test.F90:209